x86: delay lib unification build fix

fix:

arch/x86/lib/delay.c:93:24: error: macro "use_tsc_delay" passed 1 arguments, but takes just 0
arch/x86/lib/delay.c:94: error: expected ‘=’, ‘,’, ‘;’, ‘asm’ or ‘__attribute__’ before ‘{’ token

Signed-off-by: Ingo Molnar <mingo@elte.hu>
This commit is contained in:
Ingo Molnar 2008-07-09 09:12:55 +02:00
parent f0fbf0abc0
commit f8dd0d3c62

View File

@ -26,10 +26,6 @@ extern void __delay(unsigned long loops);
((n) > 20000 ? __bad_ndelay() : __const_udelay((n) * 5ul)) : \
__ndelay(n))
#ifdef CONFIG_X86_32
void use_tsc_delay(void);
#else
#define use_tsc_delay() {}
#endif
#endif /* _ASM_X86_DELAY_H */